Skip to feedback

Award Abstract # 1816361
SHF:Small: EM-Aware Physical Design and Run-Time Optimization for sub-10nm 2D and 3D Integrated Circuits

NSF Org: CCF
Division of Computing and Communication Foundations
Recipient: REGENTS OF THE UNIVERSITY OF CALIFORNIA AT RIVERSIDE
Initial Amendment Date: May 21, 2018
Latest Amendment Date: June 16, 2020
Award Number: 1816361
Award Instrument: Standard Grant
Program Manager: Sankar Basu
sabasu@nsf.gov
 (703)292-7843
CCF
 Division of Computing and Communication Foundations
CSE
 Directorate for Computer and Information Science and Engineering
Start Date: August 1, 2018
End Date: July 31, 2022 (Estimated)
Total Intended Award Amount: $450,000.00
Total Awarded Amount to Date: $482,000.00
Funds Obligated to Date: FY 2018 = $450,000.00
FY 2019 = $16,000.00

FY 2020 = $16,000.00
History of Investigator:
  • Sheldon Tan (Principal Investigator)
    stan@ece.ucr.edu
Recipient Sponsored Research Office: University of California-Riverside
200 UNIVERSTY OFC BUILDING
RIVERSIDE
CA  US  92521-0001
(951)827-5535
Sponsor Congressional District: 39
Primary Place of Performance: UC, Riverside
900 University Ave
Riverside
CA  US  92521-0001
Primary Place of Performance
Congressional District:
39
Unique Entity Identifier (UEI): MR5QC5FCAVH5
Parent UEI:
NSF Program(s): Special Projects - CCF,
Software & Hardware Foundation
Primary Program Source: 01001819DB NSF RESEARCH & RELATED ACTIVIT
01001920DB NSF RESEARCH & RELATED ACTIVIT

01002021DB NSF RESEARCH & RELATED ACTIVIT
Program Reference Code(s): 7923, 7945, 9251
Program Element Code(s): 287800, 779800
Award Agency Code: 4900
Fund Agency Code: 4900
Assistance Listing Number(s): 47.070

ABSTRACT

Electro-Migration (EM) has emerged as a major design constraint and reliability issue for nano-meter-scale integrated circuits (ICs) and emerging three-dimensional (3D) stacked ICs. Due to its importance, many advances have been made recently in EM modeling and fast numerical assessment techniques. However, those advanced EM models have not been fully exploited by existing EM-aware physical design and optimization methods to reduce and mitigate the overly conservative VLSI design practices. The new EM models can naturally consider wire topology and structure impacts on the EM failures of interconnect wires and recovery effects of EM aging process for the first time, thus opening new opportunities for EM optimization at physical design stages. Novel EM optimization techniques to be explored in this award will improve IC reliability amid continued aggressive transistor scaling and increasing power density. The research in this project will contribute significantly to the core knowledge and technologies of EM-aware physical design and optimization for nano-meter VLSI designs. This investigator will seek to recruit underrepresented minority students to further contribute to the diversity in U.S. science and technology workforce.

This project will develop advanced EM-aware physical optimization techniques and run-time EM mitigation techniques for traditional two-dimensional (2D) and emerging 3D stacked ICs in the nano-meter regime. First, the research will develop new EM-aware optimization techniques for power delivery networks of mainstream 2D and emerging 3D ICs based on the newly proposed EM immortality-check rules for general interconnect trees. The new optimization algorithms will also consider the EM-induced aging effects for targeted lifetime optimization using more accurate EM lifetime estimation methods. Second, the research will explore the run-time recovery effects of the EM aging process to extend the EM lifetime of the signal and power/ground (P/G) networks in 3D stacked ICs. The new optimization methods will, thus, help extend the lifetime of the 3D stacked ICs.

This award reflects NSF's statutory mission and has been deemed worthy of support through evaluation using the Foundation's intellectual merit and broader impacts review criteria.

PUBLICATIONS PRODUCED AS A RESULT OF THIS RESEARCH

Note:  When clicking on a Digital Object Identifier (DOI) number, you will be taken to an external site maintained by the publisher. Some full text articles may not yet be available without a charge during the embargo (administrative interval).

Some links on this page may take you to non-federal websites. Their policies may differ from this site.

(Showing: 1 - 10 of 24)
Kim, Taeyoung and Tan, Sheldon X.-D. and Cook, Chase and Sun, Zeyu "Detection of counterfeited ICs via on-chip sensor and post-fabrication authentication policy" Integration , v.63 , 2018 10.1016/j.vlsi.2018.05.002 Citation Details
Chen, Liang and Tan, Sheldon X.-D. and Sun, Zeyu and Peng, Shaoyi and Tang, Min and Mao, Junfa "A Fast Semi-Analytic Approach for Combined Electromigration and Thermomigration Analysis for General Multi-Segment Interconnects" IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 2020 10.1109/TCAD.2020.2994271 Citation Details
Chen, Liang and Tan, Sheldon X.-D. and Sun, Zeyu and Peng, Shaoyi and Tang, Min and Mao, Junfa "Fast Analytic Electromigration Analysis for General Multisegment Interconnect Wires" IEEE Transactions on Very Large Scale Integration (VLSI) Systems , v.28 , 2020 10.1109/TVLSI.2019.2940197 Citation Details
Cook, Chase and Sadiqbatcha, Sheriff and Sun, Zeyu and Tan, Sheldon X.-D. "Reliability based hardware Trojan design using physics-based electromigration models" Integration , v.66 , 2019 10.1016/j.vlsi.2019.01.011 Citation Details
H. Zhou, S. Yu "Reliable power grid network design framework considering EM immortalities for multi-segment wires" Proc. Asia South Pacific Design Automation Conference (ASP-DAC20) , 2020 Citation Details
Jin, W. and Sadiqbatcha, S. and Sun, Z. and Zhou, H. and Tan, S. X.-D. "EM-GAN: Data-driven fast stress analysis for multi-segment interconnects" Proc. IEEE Int. Conf. on Computer Design (ICCD), , 2020 Citation Details
J. Zhang, S. Sadiqbatcha "Accurate power density map estimation for commercial multi-core microprocessors" Proc. Design, Automation and Test in Europe (DATE20) , 2020 Citation Details
Kavousi, M. and Chen, L. and Tan, S. "Fast electromigration stress analysis considering spatial Joule heating effects" Proc. Asia South Pacific Design Automation Conference (ASP-DAC22) , 2022 Citation Details
Kavousi, M. and Chen, L. and Tan, S. X.-D. "Electromigration immortality check considering Joule heating effect of multi-segment wires" Proc. IEEE/ACM International Conf. on Computer-Aided Design (ICCAD20) , 2020 Citation Details
Lamichhane, S. and Peng, S. and Jin, W. and Tan S. "Fast electrostatic analysis for VLSI aging based on generative learning" Proc. of the 2020 ACM/IEEE Workshop on Machine Learning for CAD (MLCAD'21) , 2021 Citation Details
Liu, Y. and Yu, S. and Peng, S. and Tan, S. X.-D. "Runtime Long-Term Reliability Management Using Stochastic Computing in Deep Neural Networks" Proc. Int. Symposium. on Quality Electronic Design (ISQED21) , 2021 Citation Details
(Showing: 1 - 10 of 24)

PROJECT OUTCOMES REPORT

Disclaimer

This Project Outcomes Report for the General Public is displayed verbatim as submitted by the Principal Investigator (PI) for this award. Any opinions, findings, and conclusions or recommendations expressed in this Report are those of the PI and do not necessarily reflect the views of the National Science Foundation; NSF has not approved or endorsed its content.

The objective of this project is to develop advanced EM-aware physical optimization techniques and run-time circuit-level EM mitigation techniques for traditional 2D and 3D stacked ICs in nanometer regime. Following are major tasks finished:

  1. EM-aware and EM-lifetime constrained power grid optimization considering multi-segment interconnect wires
  2. Dynamic reliability management for multi-core processor based on deep reinforcement Learning
  3. Long-term reliability management for multitasking GPGPUs
  4. Reliability based hardware trojan design based on physics-based electromigration models
  5. EMSpice: physics-based electromigration check using coupled electronic and stress simulation
  6. Reliable power grid network design framework considering EM immortalities for multi-segment wires 
  7. An adaptive electromigration assessment algorithm for full-chip power/ground networks
  8. A fast semi-analytic approach for combined electromigration and thermomigration analysis for general multi-segment interconnects
  9. Run-time accuracy reconfigurable stochastic computing for dynamic reliability and power management 
  10. Data-driven fast stress analysis for multi-segment interconnects
  11. Fast date-driven EM-induced IR drop prediction and localized fixing for on-chip power grid networks
  12. Electromigration immortality check considering Joule heating effect of multi-segment wires
  13. Fast electrostatic analysis for VLSI aging based on generative learning 
  14. Fast electromigration stress analysis considering spatial Joule heating effects
  15. Fast date-driven EM-induced IR drop prediction and localized fixing for on-chip power grid networks

 

In the following, we list the three major contributions from this award:

In the following, we list the three major contributions from this award:

 

(1)  EMSpice: physics-based electromigration check using coupled electronic and stress simulation

In this work, we proposed a novel full-chip EM simulation  tool, called EMSpice simulator. The new method starts from first principles and simultaneously considers two major interplaying physics effects in EM failure process: the hydrostatic  stress and electronic current/voltage in a power grid network. It then removes immortal interconnect wires by considering both   nucleation phase immortality and incubation phase immortality for   multi-segment interconnects.  Experimental results on two practical processor chip designs show  that the proposed coupled EM-IR drop analysis method can further  reduce the overly conservative EM-aware power grid design as the  number of the failed trees found by  EMSpice simulator is up to  76.7% less than the Black's method and 66.7% less than a recently  proposed full-chip EM analysis method  respectively. [Sun, TDMR’20]

 

(2)  Run-time accuracy reconfigurable stochastic computing for dynamic reliability and power management 

In this work, we propose a novel accuracy-reconfigurable stochastic  computing (ARSC) framework for dynamic reliability and power  management. Different than the existing stochastic computing works,  where the accuracy versus power/energy trade-off is carried out in.   the design time, the new ARSC design can change accuracy or  bit-width of the data in the run-time so that it can accommodate the  long-term aging effects by slowing the system clock frequency at  the cost of accuracy while maintaining the throughput of the  computing. The proposed ARSC computing framework also allows much aggressive frequency scaling, which can lead to order of magnitude power savings compared to the traditional dynamic voltage and frequency scaling (DVFS) techniques. This technique is also demonstrated o the deep neural networks. Experimental results show that new ARSC DNN can sufficiently compensate  the NBTI induced aging effects in 10 years with marginal classification   accuracy loss while maintaining or even exceeding the pre-aging computing  throughput. [Yu, CASES’20, Liu, ISQED’21]

 

(3)  Fast date-driven EM-induced IR drop prediction and localized fixing for on-chip power grid networks

In this work, we proposed a fast full-chip electromigration (EM) aware IR drop constrained optimization framework, named {\it GridNetOpt}, for on-chip power grid networks accelerated by deep neural networks (DNN). Compared to the existing linear programming-based methods, the new method employs more flexible conjugate gradient-based optimization to size the wire width of the power grids. To mitigate the high cost of sensitivity calculation of the adjoint network using full-chip IR drop analysis at every iteration step, the sensitivity is computed via a trained conditional generative adversarial network (CGAN). Numerical results on a number of synthesized power grid benchmarks from ARM Cortex-M0 processor designs show that the proposed GridNetOpt can lead to at least an order of magnitude speedup over the conjugate gradient-based method using the traditional adjoint network method. [Zhou, ICCAD’20, Zhou, TCAD’21]

 The PI's team has published 15 conference papers and 13 journal papers, one book, one book chapter.  On the education side, five Ph.D. students who participated this project and received supports from this award, graduated from UCR including  Dr. Zeyu Sun, Dr. Chase Cook, Dr. Han Zhou, Dr. Shaoyi Peng and and Dr. Sheriff Sadiqbatcha. All the Ph.D. students joined the US companies such as Intel Corporation, Cadence Design System, Synopsys Corporation etc.

 In addition, several undergraduate student researchers, such as Karina Rowe (female, Latino), Winson Bi, Carson Welty, Cole Pivonka, Cindy Ho (female), Michael O?dea, Jonathan Clarke, Ally Thach (female) , Christian Campos (Latino), who were supported by REU funds of this award and other programs. They worked on various projects related to this project. 

 


Last Modified: 01/29/2023
Modified by: Sheldon X Tan

Please report errors in award information by writing to: awardsearch@nsf.gov.

Print this page

Back to Top of page